爱科伦
您现在的位置: 首页 > 经验知识

经验知识

modelsim使用教程「quartus怎么仿真?Quartus II调用modelsim无缝仿真详细图文教程」

清心 2024-06-12 18:46:55 经验知识

quartus怎么仿真?Quartus II调用modelsim无缝仿真详细图文教程

比较好的的方式是先写testbench对每个module作前仿真与后仿真,最后再烧入FPGA测试。但要使用testbench作仿真,就得使用ModelSim了。本文向大家介绍在QuartusII0调用ModelSim-Altera5e的详细modelsim只能仿真hdl文件,不能仿真原理图。可以用file->creat/update->createhdldesignfilefromcurrentfile来生成一个同名的hdl文件。之后将原来的原理图文件从工程中移去(否则分析综合时会报错),进行分析综合。这样就可以进行rtl仿真了。不过这样有点麻烦。我刚做过。。设置第三方工具是选择modelsim或者modelsim-Altera。根据你的版本而定。在后面时,选择,setting-simulation,选择新建测试,加入testbeach,填写测试模块名称,实体名,实例引用的名称等。。最后记得选择编译后自动仿真,就可以了。

怎样用modelsim做后仿真

利用ModelSimSE0C实现时序仿真!!!打开一个工程文件。打开Settings设置栏,选择EDAToolsSettings下的Simulation栏。ModelSim安装根目录下的配置文件modelsim.ini的只读属性去掉,用记事本或其他文本编辑程序打开。在[Library]下修改前面添加的库的路径。注意修改后关闭并改回只读属性。注:第1步设置的仿真库路径必须在ModelSim的安装目录下才能事业能够此相对路径。建议先填第二个点击Add后,点击OK--OK---OK...Tools--Run---RTLSimulation(寄存器传输级仿真,与功能仿真相同)也可以选择:Tools--Run---GatelevelSimulation(门级仿真,与时序仿真相同)。如果使用时序仿真,需要设置正确的芯片型号并将工程编译后再仿真。设定仿真工具assignmentsettingEDAtoolsettingsimulation选择你需要的工具。

如何使用ModelSim對Megafunction或LPM作仿真

1步:查阅<<基于模型设计(qsys篇)>>8页,原来要将a家库建在modelsim安装目录中:我的modelsim给默认装在c:\Mentor@Graphics内,在其中建了altera_fang仿真库目录。运行——〉vsim,接着cdC:/MentorGraphics/altera_fang,回车。因为你使用了MegaWizard生成的FIFO,“scfifo”就是调用的Megafunction名称。在仿真时,其他文件都编译好后,在命令行输入如下内容:vsim-Laltera_mf_verwork.test_bench_sim。其中altera_mf_ver是verilog版的mf库,使用MegaWizard生成所用的功能在里面都有。建议还是在vivado里调用modelsim比较省在外边编译vivado的库文件很麻烦,跟AE反反复复搞了好久才搞定.:刚刚使用vivado还不太熟悉。:安装了vivado使用vivado生成了一个FIFO。在vivado中编译仿真库后发现不像之前一样有Xilinxcorelib这个库了。

modelsim怎么自动生成testbench

首先是可以自动生成的,但是需要你自己添加你所需要的测试环境。其次建议使用modelsim-altera因为这是跟quartusii无缝结合的。具体自动生成操作processing→start→starttestbench然后在工程目录下,simulation目录下会发现发现一个与工程同名的.vt文件,这就是测试文件,需要你手动修改才能用。用Simulink模块库浏览器从AlteraDSPBuilderBlockSet中选择AltLab;将TestBench拖放到你的设计文件中,如下图所示。你会发现TestBench中有很多已经自动写好,可根据自己的设计需要改写程序。其中Entity是空的,由于是仿真,不必有管脚的输入输出,只要写好激励信号就可以了。“产生testbench的processing->start->starttestbenchtemplatewriter然后quartusII会自动编译生成testbench模板的”我的项目中激励就是个时钟,填写模板就该行了。试试。。。(继续)在经历了夜半3点不眠狂搜加天明闷声狂试后。

ISE调用modelsim仿真

首先将modelsim.ini文件只读模式去掉,存档前面打对勾。在文件树窗口‘sources’中找到FPGA芯片(例如‘xc3s500e-5pq208’)上点击右键,选择属性‘properties’。弹出projectproperties对话框。在对话框中将Simulator中选择,ISESimulator(VHDL/Verilog)。关闭对话框就OK了。关联ise和modelsim,在edit下preferences里将仿真工具改为modelsim,就是isegeneral里的integretedtools,将modeltechsimulator的目录改为你的modelsim可执行文件目录d:\modelsim\win32\modelsim.exe,这一步一般不需要因为安装ise时已经设置好了,只是为了以防万把ISE的安装路径添加到计算机的环境变量。具体形式可参考计算机中已有的环境变量。而且你这个版本好像很老了吧,我用的4感觉很好用,推荐你升级到4以上的版本。

如何用modelsim添加中间信号波形

右击LED_LIGHT_tb,在add--Towave--Allitemsinregionandbelow。这就出现了模块中所用到的中间变量。按菜单栏Processing|StartCompilation进行编译,也可以按工具栏上的快捷键。编译完,按菜单栏Processing|Start|StartTestBenchTemplateWriter,成功后,按菜单栏File|Open,打开E:\CNT4\simulation\modelsim\,选择CNTvht文件。得到的是testbench文件的一个模板。f.查看仿真结果和波形图,确保仿真结果符合预期。通过ModelSimWave编辑器查看波形图:要通过ModelSimWave编辑器查看波形图,请执行以下a.在仿真运行结束后,在主菜单中选择View>Wave。b.在ModelSimWave编辑器中,选择需要查看的波形信号。第一步点击format菜单toggleleafnames选项,把信号端的目录隐藏。第二步,点击zoomfull按钮,屏幕显示出仿真波形的全貌。第三步,在信号端Q上点击右键选择radix选项,进一步选择unsigned选项,让输出Q的值以10进制数形式呈现。

如果你喜欢本文,并想了解更多相关信息,请关注我们的网站。感谢您的阅读。